Fix format

This commit is contained in:
Jaeyoung Lim 2022-08-17 21:24:25 +02:00 committed by Silvan Fuhrer
parent b87afb138c
commit bcdf61b65f
1 changed files with 1 additions and 1 deletions

View File

@ -434,7 +434,7 @@ void FixedwingAttitudeControl::Run()
}
}
// TODO: send the unallocated value directly for better anti-windup
// TODO: send the unallocated value directly for better anti-windup
_rate_control.setSaturationStatus(saturation_positive, saturation_negative);
}