Commit Graph

6 Commits

Author SHA1 Message Date
Peter Barker 1915244960 SITL: correct compiler warning
In file included from ../../libraries/SITL/SIM_last_letter.cpp:19:
../../libraries/SITL/SIM_last_letter.h:74:17: warning: private field
'frame_str' is not used [-Wunused-private-field]
    const char *frame_str;

SITL: correct compiler warning

In file included from ../../libraries/SITL/SIM_Sprayer.cpp:19:
../../libraries/SITL/SIM_Sprayer.h:55:14: warning: private field
'start_time_us' is not used [-Wunused-private-field]
    uint64_t start_time_us;

SITL: correct compiler warnings

In file included from ../../libraries/SITL/SIM_Gripper_Servo.cpp:19:
../../libraries/SITL/SIM_Gripper_Servo.h:56:10: warning: private field
'zero_report_done' is not used [-Wunused-private-field]
    bool zero_report_done = false;

SITL: correct compiler warnings

In file included from ../../libraries/SITL/SIM_ADSB.cpp:19:
../../libraries/SITL/SIM_ADSB.h:49:28: warning: private field 'fdm' is
not used [-Wunused-private-field]
    const struct sitl_fdm &fdm;
2018-03-02 09:26:37 +09:00
Mathieu OTHACEHE 152edf7189 Global: remove mode line from headers
Using a global .dir-locals.el file is a better alternative than
reincluding the same emacs header in every file of the project.
2016-10-24 09:42:01 -02:00
Tom Pittenger 19ac9bce48 SITL: add Ping2020 simulated device 2016-08-16 16:33:36 -07:00
Tom Pittenger c3b241ca88 SITL: change ADSB_VEHICLE update rate to 1Hz instead of 2Hz to match PING behavior 2016-06-14 21:05:32 -07:00
Tom Pittenger 95da4accfb SITL: add SIM_ADSB_COUNT, RADIUS, ALT params 2016-06-14 21:00:39 -07:00
Tom Pittenger f88de986bc SITL: created ADSB simulator to generate additional ghost aircraft within SITL
The values are very aggressive, you'll come into contact with another aircraft very soon
Credit goes to Tridge for this work

This feature is enabled with the following command:
sim_vehicle -A --adsb
2015-11-23 19:45:40 +11:00