step test failure debug

This commit is contained in:
vivek-shankar 2017-01-27 05:18:40 -05:00
parent 2bb9622919
commit 7c3aa655d7
1 changed files with 1 additions and 2 deletions

View File

@ -541,8 +541,7 @@ int update_step_test(){
int a = buzzvm_function_call(VM, "step", 0);
if(a != BUZZVM_STATE_READY){
fprintf(stdout, "step test VM state %i\n",a);
fprintf(stdout, " execution terminated abnormally: %s\n\n",
buzz_error_info());
fprintf(stdout, " execution terminated abnormally\n\n");
}
return a == BUZZVM_STATE_READY;
}